1. Wskaźniki cyfrowe Wskaźniki analogowo

Transkrypt

1. Wskaźniki cyfrowe Wskaźniki analogowo
1. Wskaźniki cyfrowe
Wskaźniki analogowo-cyfrowe przeznaczone są do wyświetlania informacji o dostarczonym
sygnale analogowym w postaci liczbowej lub w postaci linii diodowej. Sygnałem takim może być
napięcie lub prąd jaki dostarczają układy elektroniczne. Na jego przedniej ścianie podane są
wartości parametrów kontrolowanych procesów.
Za pomocą wskaźnika można określić wartości takie jak: wielkości fizyczne (temp, ciśn, przepływ
itp.), podstawowe wielkości elektryczne (I,U,R,P,E itp)
Ze względu na sposób przedstawiania informacji wyróżnia się następujące typy wskaźników:
- analogowy – przednia ściana jest wyposażona w tablicę z pojedynczą wskazówką, pokazującą
wartośc jednej zmiennej wartości, wychyla się pod wpływem oddziaływania
magnetycznego/elektromagnetycznego
- cyfrowy – często wyposazony w rejestrator, na przedniej ścianie znajduje się wyświetlacz cyfrowy
na którym pokazywana jest wartość jednej lub kilku zmiennych
- cyfrowy z bargrafem – elementami przedniego panelu są: wyświetlacz cyfrowy i diodowa linijka
pomiarowa, sygnalizująca poziom kontrolowanej wartości.
Konwersję sygnałów fizycznych na elektryczne odczytywane przez wskaźniki dokonuje się poprzez
stosowanie przetworników.
2. Metody sterowania – stat, dyn
Statyczne – w sterowaniu statycznym nie można wyróżnić zmiennych stanu, nie stosuje się
elementów gromadzących energię (kondensatory itp.)
Dynamiczne – sterowanie rzeczywistym modelem matematycznym, najczęściej komputerowe
poprzez układ z pamięcią, opisane odpowiednim równaniem wektorowym.
3. Automat VHDL
Automat – abstrakcyjny, matematyczny, iteracyjny model zachowania systemu dynamicznego
oparty na tablicy dyskretnych przejść między kolejnymi stanami.
Działanie automatu określa się poprzez diagram, tabelę lub listę przejść.
W języki VHDL wykorzystywanym sposobem jest lista przejść, określająca stan startowy i kolejne
przejścia automatu. Wykorzystywane są przykładowo następujące instrukcje:
stany : process(clk, x, reset)
begin
if reset='1' then s <= s0; end if;
case s is
when s0=> if x='1' then s<=s1; else s<=s0; end if;
when s1=> if x='0' then s<=s2; else s<=s1; end if;
when s2=> if x='1' then s<=s3; else s<=s0; end if;
when s3=> if x='0' then s<=s4; else s<=s1; end if;
when s4=> if x='1' then s<=s1; else s<=s0; end if;
when others => s <= s0; --(awaryjnie)
end case;
end process stany;
end architecture det1010a1;
4. Dzielnik częstotliwości VHDL
Przyrząd pomiarowy lub część generatora częstotliwości/miernika częstotliwości służąca do
zmniejszenia generowanej lub mierzonej częstotliwości w określonym stosunku liczbowym.
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity clock_divider is
port (
CLK_REF : in std_logic; CLK_OUT : out std_logic );
end entity;
architecture RTL of clock_divider is
begin
process(CLK_REF)
variable i : integer range 0 to 999999;
begin
if rising_edge(CLK_REF) then
if i = 0 then
CLK_OUT <= ‘1’;
i := 999999;
else
CLK_OUT <= ‘0’;
i := i – 1;
end if;
end if;
end process;
end architecture;

Podobne dokumenty